Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

AD469x_fmc: Initial version for Coraz7s and DE-10Nano #1463

Draft
wants to merge 2 commits into
base: main
Choose a base branch
from
Draft

Conversation

ladace
Copy link
Contributor

@ladace ladace commented Sep 24, 2024

PR Description

Initial version for Coraz7s

PR Type

  • Bug fix (change that fixes an issue)
  • New feature (change that adds new functionality)
  • Breaking change (has dependencies in other repos or will cause CI to fail)

PR Checklist

  • I have followed the code style guidelines
  • I have performed a self-review of changes
  • I have compiled all hdl projects and libraries affected by this PR
  • I have tested in hardware affected projects, at least on relevant boards
  • I have commented my code, at least hard-to-understand parts
  • I have signed off all commits from this PR
  • I have updated the documentation (wiki pages, ReadMe files, Copyright etc)
  • I have not introduced new Warnings/Critical Warnings on compilation
  • I have added new hdl testbenches or updated existing ones

@ladace ladace self-assigned this Sep 24, 2024
@sarpadi
Copy link
Contributor

sarpadi commented Oct 1, 2024

Update Makefile

@sarpadi
Copy link
Contributor

sarpadi commented Oct 1, 2024

Project should be renamed without "_fmc". I suggest "ad469x_evb"

Comment on lines +10 to +16
set spi_clk_ref_frequency 160

# specify ADC resolution -- supported resolutions 16 bits
set adc_resolution 16

# specify ADC sampling rate in samples/seconds
set adc_sampling_rate 1000000
Copy link
Contributor

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

remove useless variables

ad_ip_parameter rom_sys_0 CONFIG.PATH_TO_FILE "$mem_init_sys_file_path/mem_init_sys.txt"
ad_ip_parameter rom_sys_0 CONFIG.ROM_ADDR_BITS 9

set sys_cstring "SPI_CLK_FREQ=$spi_clk_ref_frequency\
Copy link
Contributor

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

cstring must contain build parameters


set_property -dict {PACKAGE_PIN G15 IOSTANDARD LVCMOS33 IOB TRUE} [get_ports ad469x_spi_sclk]; ## CK_IO13
set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS33 IOB TRUE PULLTYPE PULLUP} [get_ports ad469x_spi_sdo]; ## CK_IO12
set_property -dict {PACKAGE_PIN K18 IOSTANDARD LVCMOS33 IOB TRUE PULLTYPE PULLUP} [get_ports ad469x_spi_sdi]; ## CK_IO11
Copy link
Contributor

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

remove pullups

.dio_o(gpio_i[7:2]),
.dio_p(led));

assign gpio_i[31:8] = gpio_o[31:8];
Copy link
Contributor

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

move higher next to other assigments

wire [63:0] gpio_o;
wire [63:0] gpio_t;

wire ad469x_spi_cnv_s;
Copy link
Contributor

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

spacing

@ladace ladace changed the title AD469x_fmc: Initial version for Coraz7s AD469x_fmc: Initial version for Coraz7s and DE-10Nano Oct 9, 2024
@ladace
Copy link
Contributor Author

ladace commented Oct 9, 2024

V1: Initial version for DE10-Nano

@sarpadi
Copy link
Contributor

sarpadi commented Oct 10, 2024

de10nano has offload/trigger not connected and execution/sdi not connected

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants