-
Notifications
You must be signed in to change notification settings - Fork 0
/
tl45_de2.qsf
263 lines (263 loc) · 14.4 KB
/
tl45_de2.qsf
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
set_location_assignment PIN_N2 -to i_clk
set_location_assignment PIN_G26 -to i_reset
set_location_assignment PIN_C25 -to i_uart
set_location_assignment PIN_B25 -to o_uart
set_location_assignment PIN_V5 -to sdr_addr[11]
set_location_assignment PIN_Y1 -to sdr_addr[10]
set_location_assignment PIN_W3 -to sdr_addr[9]
set_location_assignment PIN_W4 -to sdr_addr[8]
set_location_assignment PIN_U5 -to sdr_addr[7]
set_location_assignment PIN_U7 -to sdr_addr[6]
set_location_assignment PIN_U6 -to sdr_addr[5]
set_location_assignment PIN_W1 -to sdr_addr[4]
set_location_assignment PIN_W2 -to sdr_addr[3]
set_location_assignment PIN_V3 -to sdr_addr[2]
set_location_assignment PIN_V4 -to sdr_addr[1]
set_location_assignment PIN_T6 -to sdr_addr[0]
set_location_assignment PIN_AE3 -to sdr_ba[1]
set_location_assignment PIN_AE2 -to sdr_ba[0]
set_location_assignment PIN_AB3 -to sdr_cas_n
set_location_assignment PIN_AA6 -to sdr_cke
set_location_assignment PIN_AC3 -to sdr_cs_n
set_location_assignment PIN_AA5 -to sdr_dq[15]
set_location_assignment PIN_AC1 -to sdr_dq[14]
set_location_assignment PIN_AC2 -to sdr_dq[13]
set_location_assignment PIN_AA3 -to sdr_dq[12]
set_location_assignment PIN_AA4 -to sdr_dq[11]
set_location_assignment PIN_AB1 -to sdr_dq[10]
set_location_assignment PIN_AB2 -to sdr_dq[9]
set_location_assignment PIN_W6 -to sdr_dq[8]
set_location_assignment PIN_V7 -to sdr_dq[7]
set_location_assignment PIN_T8 -to sdr_dq[6]
set_location_assignment PIN_R8 -to sdr_dq[5]
set_location_assignment PIN_Y4 -to sdr_dq[4]
set_location_assignment PIN_Y3 -to sdr_dq[3]
set_location_assignment PIN_AA1 -to sdr_dq[2]
set_location_assignment PIN_AA2 -to sdr_dq[1]
set_location_assignment PIN_V6 -to sdr_dq[0]
set_location_assignment PIN_Y5 -to sdr_dqm[1]
set_location_assignment PIN_AD2 -to sdr_dqm[0]
set_location_assignment PIN_AB4 -to sdr_ras_n
set_location_assignment PIN_AD3 -to sdr_we_n
set_location_assignment PIN_AA7 -to sdram_clk
set_location_assignment PIN_V2 -to i_halt_proc
set_location_assignment PIN_Y12 -to inst_decode_err
set_location_assignment PIN_AF10 -to ssegs[0][6]
set_location_assignment PIN_AB12 -to ssegs[0][5]
set_location_assignment PIN_AC12 -to ssegs[0][4]
set_location_assignment PIN_AD11 -to ssegs[0][3]
set_location_assignment PIN_AE11 -to ssegs[0][2]
set_location_assignment PIN_V14 -to ssegs[0][1]
set_location_assignment PIN_V13 -to ssegs[0][0]
set_location_assignment PIN_V20 -to ssegs[1][6]
set_location_assignment PIN_V21 -to ssegs[1][5]
set_location_assignment PIN_W21 -to ssegs[1][4]
set_location_assignment PIN_Y22 -to ssegs[1][3]
set_location_assignment PIN_AA24 -to ssegs[1][2]
set_location_assignment PIN_AA23 -to ssegs[1][1]
set_location_assignment PIN_AB24 -to ssegs[1][0]
set_location_assignment PIN_AB23 -to ssegs[2][6]
set_location_assignment PIN_V22 -to ssegs[2][5]
set_location_assignment PIN_AC25 -to ssegs[2][4]
set_location_assignment PIN_AC26 -to ssegs[2][3]
set_location_assignment PIN_AB26 -to ssegs[2][2]
set_location_assignment PIN_AB25 -to ssegs[2][1]
set_location_assignment PIN_Y24 -to ssegs[2][0]
set_location_assignment PIN_Y23 -to ssegs[3][6]
set_location_assignment PIN_AA25 -to ssegs[3][5]
set_location_assignment PIN_AA26 -to ssegs[3][4]
set_location_assignment PIN_Y26 -to ssegs[3][3]
set_location_assignment PIN_Y25 -to ssegs[3][2]
set_location_assignment PIN_U22 -to ssegs[3][1]
set_location_assignment PIN_W24 -to ssegs[3][0]
set_location_assignment PIN_U9 -to ssegs[4][6]
set_location_assignment PIN_U1 -to ssegs[4][5]
set_location_assignment PIN_U2 -to ssegs[4][4]
set_location_assignment PIN_T4 -to ssegs[4][3]
set_location_assignment PIN_R7 -to ssegs[4][2]
set_location_assignment PIN_R6 -to ssegs[4][1]
set_location_assignment PIN_T3 -to ssegs[4][0]
set_location_assignment PIN_T2 -to ssegs[5][6]
set_location_assignment PIN_P6 -to ssegs[5][5]
set_location_assignment PIN_P7 -to ssegs[5][4]
set_location_assignment PIN_T9 -to ssegs[5][3]
set_location_assignment PIN_R5 -to ssegs[5][2]
set_location_assignment PIN_R4 -to ssegs[5][1]
set_location_assignment PIN_R3 -to ssegs[5][0]
set_location_assignment PIN_R2 -to ssegs[6][6]
set_location_assignment PIN_P4 -to ssegs[6][5]
set_location_assignment PIN_P3 -to ssegs[6][4]
set_location_assignment PIN_M2 -to ssegs[6][3]
set_location_assignment PIN_M3 -to ssegs[6][2]
set_location_assignment PIN_M5 -to ssegs[6][1]
set_location_assignment PIN_M4 -to ssegs[6][0]
set_location_assignment PIN_L3 -to ssegs[7][6]
set_location_assignment PIN_L2 -to ssegs[7][5]
set_location_assignment PIN_L9 -to ssegs[7][4]
set_location_assignment PIN_L6 -to ssegs[7][3]
set_location_assignment PIN_L7 -to ssegs[7][2]
set_location_assignment PIN_P9 -to ssegs[7][1]
set_location_assignment PIN_N9 -to ssegs[7][0]
set_location_assignment PIN_L25 -to o_clk
set_location_assignment PIN_J20 -to o_lwopcode[7]
set_location_assignment PIN_J21 -to o_lwopcode[6]
set_location_assignment PIN_F23 -to o_lwopcode[5]
set_location_assignment PIN_F24 -to o_lwopcode[4]
set_location_assignment PIN_E25 -to o_lwopcode[3]
set_location_assignment PIN_E26 -to o_lwopcode[2]
set_location_assignment PIN_J22 -to o_lwopcode[1]
set_location_assignment PIN_D25 -to o_lwopcode[0]
set_location_assignment PIN_F25 -to opcode_breakout[0]
set_location_assignment PIN_F26 -to opcode_breakout[1]
set_location_assignment PIN_N18 -to opcode_breakout[2]
set_location_assignment PIN_P18 -to opcode_breakout[3]
set_location_assignment PIN_G23 -to opcode_breakout[4]
set_location_assignment PIN_G24 -to o_halt
set_location_assignment PIN_AE23 -to o_leds[0]
set_location_assignment PIN_AE13 -to o_leds[15]
set_location_assignment PIN_AF13 -to o_leds[14]
set_location_assignment PIN_AE15 -to o_leds[13]
set_location_assignment PIN_AD15 -to o_leds[12]
set_location_assignment PIN_AC14 -to o_leds[11]
set_location_assignment PIN_AA13 -to o_leds[10]
set_location_assignment PIN_Y13 -to o_leds[9]
set_location_assignment PIN_AA14 -to o_leds[8]
set_location_assignment PIN_AC21 -to o_leds[7]
set_location_assignment PIN_AD21 -to o_leds[6]
set_location_assignment PIN_AD23 -to o_leds[5]
set_location_assignment PIN_AD22 -to o_leds[4]
set_location_assignment PIN_AC22 -to o_leds[3]
set_location_assignment PIN_AB21 -to o_leds[2]
set_location_assignment PIN_AF23 -to o_leds[1]
set_location_assignment PIN_U4 -to i_switches[15]
set_location_assignment PIN_U3 -to i_switches[14]
set_location_assignment PIN_T7 -to i_switches[13]
set_location_assignment PIN_P2 -to i_switches[12]
set_location_assignment PIN_P1 -to i_switches[11]
set_location_assignment PIN_N1 -to i_switches[10]
set_location_assignment PIN_A13 -to i_switches[9]
set_location_assignment PIN_B13 -to i_switches[8]
set_location_assignment PIN_C13 -to i_switches[7]
set_location_assignment PIN_AC13 -to i_switches[6]
set_location_assignment PIN_AD13 -to i_switches[5]
set_location_assignment PIN_AF14 -to i_switches[4]
set_location_assignment PIN_AE14 -to i_switches[3]
set_location_assignment PIN_P25 -to i_switches[2]
set_location_assignment PIN_N26 -to i_switches[1]
set_location_assignment PIN_N25 -to i_switches[0]
set_location_assignment PIN_H3 -to io_disp_data[7]
set_location_assignment PIN_H4 -to io_disp_data[6]
set_location_assignment PIN_J3 -to io_disp_data[5]
set_location_assignment PIN_J4 -to io_disp_data[4]
set_location_assignment PIN_H2 -to io_disp_data[3]
set_location_assignment PIN_H1 -to io_disp_data[2]
set_location_assignment PIN_J2 -to io_disp_data[1]
set_location_assignment PIN_J1 -to io_disp_data[0]
set_location_assignment PIN_K2 -to o_disp_blon
set_location_assignment PIN_K3 -to o_disp_en_n
set_location_assignment PIN_L4 -to o_disp_on_n
set_location_assignment PIN_K1 -to o_disp_rs
set_location_assignment PIN_K4 -to o_disp_rw
set_location_assignment PIN_AD12 -to o_valid
set_location_assignment PIN_H23 -to out_wb_ack
set_location_assignment PIN_H24 -to out_wb_cyc
set_location_assignment PIN_J23 -to out_wb_err
set_location_assignment PIN_J24 -to out_wb_stb
set_location_assignment PIN_AD24 -to sdc_i_miso
set_location_assignment PIN_Y21 -to sdc_o_mosi
set_location_assignment PIN_AD25 -to sdc_o_sck
set_location_assignment PIN_H25 -to out_wb_stall
set_location_assignment PIN_H26 -to out_fetch_cache_hit
set_location_assignment PIN_V1 -to i_sw16
set_location_assignment PIN_AC23 -to sdc_o_cs
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_global_assignment -name FAMILY "Cyclone II"
set_global_assignment -name DEVICE EP2C35F672C6
set_global_assignment -name TOP_LEVEL_ENTITY tl45_comp
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:51:47 OCTOBER 25, 2019"
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS OFF
set_global_assignment -name SMART_RECOMPILE ON
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
set_global_assignment -name ENABLE_SIGNALTAP OFF
set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp
set_global_assignment -name SLD_FILE "C:/Users/Codetector/projects/tl45-softcore/quartus/stp1_auto_stripped.stp"
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/wb_iodevice/wbuart_with_buffer.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/wbuart_with_ihex/wbuart_with_ihex.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/ihex/wb_master_breakout.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/ihex/uart_tx.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/ihex/uart_rx.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/ihex/ihex.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/ihex/wishbone.sv
set_global_assignment -name VERILOG_FILE ../rtl/tl45_core/div.v
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/wb_iodevice/wb_timer.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/clk_divider.sv
set_global_assignment -name VERILOG_FILE ../rtl/sdspi/llsdspi.v
set_global_assignment -name VERILOG_FILE ../rtl/sdspi/sdspi.v
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/wb_iodevice/wb_lcdhd47780.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/wb_iodevice/wb_switch_led.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/tl45_core/tl45_pfetch_with_cache.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/wb_iodevice/sevenSegmentDisp.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/wb_iodevice/wb_sevenseg.sv
set_global_assignment -name VERILOG_FILE ../rtl/bus/wbpriarbiter.v
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/tl45_core/tl45_writeback.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/tl45_core/tl45_nofetch.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/tl45_core/tl45_memory.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/tl45_core/tl45_comp.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/tl45_core/tl45_alu.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/tl45_core/tl45_decode.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/tl45_core/tl45_register_read.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/tl45_core/tl45_prefetch.sv
set_global_assignment -name SYSTEMVERILOG_FILE ../rtl/tl45_core/tl45_dprf.sv
set_global_assignment -name VERILOG_FILE ../rtl/sdram.v
set_global_assignment -name VERILOG_FILE ../rtl/hexbus/hbpack.v
set_global_assignment -name VERILOG_FILE ../rtl/hexbus/hbnewline.v
set_global_assignment -name VERILOG_FILE ../rtl/hexbus/hbints.v
set_global_assignment -name VERILOG_FILE ../rtl/hexbus/hbidle.v
set_global_assignment -name VERILOG_FILE ../rtl/hexbus/hbgenhex.v
set_global_assignment -name VERILOG_FILE ../rtl/hexbus/hbexec.v
set_global_assignment -name VERILOG_FILE ../rtl/hexbus/hbdeword.v
set_global_assignment -name VERILOG_FILE ../rtl/hexbus/hbdechex.v
set_global_assignment -name VERILOG_FILE ../rtl/hexbus/hbbus.v
set_global_assignment -name VERILOG_FILE ../rtl/bus/wbscope.v
set_global_assignment -name VERILOG_FILE ../rtl/bus/txuartlite.v
set_global_assignment -name VERILOG_FILE ../rtl/bus/testbus.v
set_global_assignment -name VERILOG_FILE ../rtl/bus/rxuartlite.v
set_global_assignment -name CDF_FILE tl45.cdf
set_global_assignment -name SIGNALTAP_FILE stp1.stp
set_global_assignment -name SDC_FILE tl45.sdc
set_global_assignment -name QIP_FILE ../rtl/plls/main_pll.qip
set_global_assignment -name IP_TOOL_NAME ALTPLL -qip ../rtl/plls/main_pll.qip
set_global_assignment -name IP_TOOL_VERSION 13.0 -qip ../rtl/plls/main_pll.qip
set_global_assignment -name VERILOG_FILE ../rtl/plls/main_pll.v -qip ../rtl/plls/main_pll.qip
set_global_assignment -name MISC_FILE ../rtl/plls/main_pll.ppf -qip ../rtl/plls/main_pll.qip
set_global_assignment -name QIP_FILE ../rtl/plls/dram_pll.qip
set_global_assignment -name IP_TOOL_NAME ALTPLL -qip ../rtl/plls/dram_pll.qip
set_global_assignment -name IP_TOOL_VERSION 13.0 -qip ../rtl/plls/dram_pll.qip
set_global_assignment -name VERILOG_FILE ../rtl/plls/dram_pll.v -qip ../rtl/plls/dram_pll.qip
set_global_assignment -name MISC_FILE ../rtl/plls/dram_pll.ppf -qip ../rtl/plls/dram_pll.qip
set_global_assignment -name QIP_FILE ../rtl/lpm_mult/alu_mult_altera.qip
set_global_assignment -name IP_TOOL_NAME LPM_MULT -qip ../rtl/lpm_mult/alu_mult_altera.qip
set_global_assignment -name IP_TOOL_VERSION 13.0 -qip ../rtl/lpm_mult/alu_mult_altera.qip
set_global_assignment -name VERILOG_FILE ../rtl/lpm_mult/alu_mult_altera.v -qip ../rtl/lpm_mult/alu_mult_altera.qip